用VHDL实现分频

[复制链接]
查看11 | 回复2 | 2010-5-9 22:15:01 | 显示全部楼层 |阅读模式
输入50MHZ 输出4Mhz、1Khz、500hz、1hz 用VHDL语言编写能做的速度啊
可以发我的邮箱[email protected] 希望是通过验证的程序

回复

使用道具 举报

千问 | 2010-5-9 22:15:01 | 显示全部楼层
你老师的用意应该是考核你的4M如何分出来,注意看我的注释。library ieee;use ieee.std_logic_1164.all;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fenpin isport( clk_in :in std_logic;---------input clk 50MHz clk_1Hz :out std_logic; clk_500Hz :out std_logic; clk_1KHz :out std_logic; clk_4MHz :out std_logic);-------
回复

使用道具 举报

千问 | 2010-5-9 22:15:01 | 显示全部楼层
LIBRARY ieee;USE
ieee.std_logic_1164.ALL;ENTITY fenpin20m ISPORT(clk_in:IN std_logic;
clk_out:OUT std_logic );END fenpin20m;architecture third of fenpin20m isc
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行