求个用vhdl实现8-3编码器,在线等啊,急!

[复制链接]
查看11 | 回复1 | 2010-5-12 09:49:48 | 显示全部楼层 |阅读模式
求高手啊,速度

回复

使用道具 举报

千问 | 2010-5-12 09:49:48 | 显示全部楼层
library ieee;use ieee.std_logic_1164.all;entity yimaqi isport(clk,clr:in std_logic;
d:in std_logic_vector(7 downto 0);
q:out std_logic_vector(2 downto 0));end yimaqi;architecture y of yimaqi isbegin process(d) begincase d is when"01111111"=>qq<="001"; when"1101
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行