怎样用VHDL设计含有异步清零和同步时钟使能的10位加法计数器

[复制链接]
查看11 | 回复1 | 2010-5-16 10:29:57 | 显示全部楼层 |阅读模式
怎样用VHDL设计含有异步清零和同步时钟使能的10位加法计数器

回复

使用道具 举报

千问 | 2010-5-16 10:29:57 | 显示全部楼层
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity add isport(clr,en,clkin:in std_logic;
---------清零,使能及触发时钟
a,b:in std_logic_vector(9 downto 0);---------加数
c:out std_logic_vector(9 downto 0);----------和
ci:out std_logic
---------进位
);
en
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行