求用VHDL实现方波的程序

[复制链接]
查看11 | 回复1 | 2010-6-3 22:30:50 | 显示全部楼层 |阅读模式
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bxfashengqi isport(clk:in std_logic;dataout:out std_logic_vector(7 downto 0));end bxfashengqi;architecture bhv of bxfashengqi istype vector_array is array(0 to 63) of std_logic_vector(7 downto 0);constant memory:
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行