QUARTUS II 6.0报错 急!!!

[复制链接]
查看11 | 回复2 | 2011-1-28 22:49:00 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
entity myand is
port
(
m,q: in std_logic;
aout: out std_logic
);
end myand;
architechture and1 of myand is
begin
aout<= m and q;
end and1;
机器报错 Error (10500): VHDL syntax error at myand.vhd(10) near text "archtechture";expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration".
求各位大侠协助

回复

使用道具 举报

千问 | 2011-1-28 22:49:00 | 显示全部楼层
错误Error(10050)是VHDL语法错误,一般是使用了错误的保留字。在你的例子第10行中,architechture and1 of myand is --结构体描述中,结构体的关键字拼写错误,应该是architecture修改后程序运行就正确了。
回复

使用道具 举报

千问 | 2011-1-28 22:49:00 | 显示全部楼层
--BEGIN前一句要分号结束 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; ENTITY Test IS PORT ( PORT1: OUT STD_LOG
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行