verilog仿真时,部分reg变量消失

[复制链接]
查看11 | 回复2 | 2010-7-21 19:45:23 | 显示全部楼层 |阅读模式
大家好,我在用Quartus仿真时,为什么有的变量用reg声明了,但是在仿真时,却不能仿真该变量,变量不能找到,有的可以找到,感觉像是变量被软件优化了。

回复

使用道具 举报

千问 | 2010-7-21 19:45:23 | 显示全部楼层
没错,在综合过程中一些变量是会被优化的.一种常用的调试手段,是将这些内部变量变成输出.带来的麻烦是,module模块需要需要增加输出,尤其是多级调用模块时,会显得比较麻烦.其实如果你需要一般的仿真,只需要用ModelSim就行了,任何内部的变量都可以观察到波形;ModelSim仿真需要自己编写testbench和测试波形,也就是说testbench模块不能有任何的输入,信号完全内部产生.
回复

使用道具 举报

千问 | 2010-7-21 19:45:23 | 显示全部楼层
虽然你定义了REG,但是如果是组合逻辑是不会综合成寄存器的。而是WIRE类型,所以该变量找不到希望能帮助到你,Timothy
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行