multisim仿真如何自定义波形

[复制链接]
查看11 | 回复2 | 2016-1-19 10:29:35 | 显示全部楼层 |阅读模式
我需要自己设计一个频率变化的波形来模拟仿真,multisim有这个功能吗,怎么用?在线等

回复

使用道具 举报

千问 | 2016-1-19 10:29:35 | 显示全部楼层
在multisim仿真中若需自定义波形按以下步骤操作:一,打开仿真菜单—仪器—选择函数发生器,如下图:二,将选择好的函数发生器放置好后,双击它,在弹出的属性界面中可自定义需要的波形。
回复

使用道具 举报

千问 | 2016-1-19 10:29:35 | 显示全部楼层
里面有个分段线性源,把他放置到原理图中以后,双击打开属性窗口,在value标签栏里选择enter data那个选项,然后在time voltage里面填数据就行了。注意time的单位是秒,voltage的单位是伏特。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行