用全加器组成8位二进制代码奇偶校验器,电路如何连接?

[复制链接]
查看11 | 回复2 | 2011-4-7 16:47:19 | 显示全部楼层 |阅读模式
求求大家了。。急用啊。。

回复

使用道具 举报

千问 | 2011-4-7 16:47:19 | 显示全部楼层
1.直接映像法 2.全相映像法 3.组相映像法 这三种映射是在 计算机组成原理 里有详细的解释。大概说一下,这三种映射涉及的对象是寄存器,CACHE,和内存;其中cache(高速缓冲存贮器,简称高速缓存)是在两者之间的,寄存器寻址的时候要判断目前找的地址是否在CACHE中,如果不在就从内存读取,并且把读近来的地址保存到CACHE的某一个位置上,如果CACHE已经写满了数据就按照某种算法淘汰掉某一项。如果在CACHE中,那么就直接从CACHE中读取这个地址。所谓1.直接映像法 2.全相映像法 3.组相映像法 是指把内存的地址写到CACHE的一种方法。1.直接映像法 是指内存中的某段地址只能映射到CACHE的某一项上,而不能映射到别
回复

使用道具 举报

千问 | 2011-4-7 16:47:19 | 显示全部楼层
使用4个全加器,前两个使用3个输入,第三个使用两个输入,其第三个输入为0。然后把三个全加器的S端连到第四个全加器的三个输入端上即可。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行