第一种方法,程序如下:library ieee;use ieee.std_logic_1164.all;entity and4 is port (a,b,c,d : in std_logic;
z : out std_logic );end and4;architecture medied of and4 isbegin z <= (a and b) and (c and d);end medied;第二种方法,程序如下:library ieee;use ieee.std_logic_1164.all;entity and4 is port (a,b,c,d : i
|