用vhdl设计四输入与门,两种方法

[复制链接]
查看11 | 回复3 | 2015-6-26 22:32:58 | 显示全部楼层 |阅读模式
急,谢谢! 要过程

回复

使用道具 举报

千问 | 2015-6-26 22:32:58 | 显示全部楼层
第一种方法,程序如下:library ieee;use ieee.std_logic_1164.all;entity and4 is port (a,b,c,d : in std_logic;
z : out std_logic );end and4;architecture medied of and4 isbegin z <= (a and b) and (c and d);end medied;第二种方法,程序如下:library ieee;use ieee.std_logic_1164.all;entity and4 is port (a,b,c,d : i
回复

使用道具 举报

千问 | 2015-6-26 22:32:58 | 显示全部楼层
第一种方法,程序如下:library ieee;use ieee.std_logic_1164.all;entity and4 is port (a,b,c,d : in std_logic;
z : out std_logic );end and4;architecture medied of and4 is
回复

使用道具 举报

千问 | 2015-6-26 22:32:58 | 显示全部楼层
一种是根据真值表,用CASE WHEN语句,一种是直接用output<=a and b and c and d;
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行