关于设计16位CISC CPU

[复制链接]
查看11 | 回复1 | 2011-5-8 22:00:37 | 显示全部楼层 |阅读模式
求ram_dp做法。
ram_dp是一个存储元件,其中存储了CPU执行的指令和数据。存储器ram_dp由FPGA中的嵌入式阵列块构成,通过调用宏模块LPM_ram_dp和设置其相关参数来实现。

此存储器的数据线和地址线都设为16位,其数据线与总线接口,CPU能对它进行读/写操作。

ram_dp读数据的过程是:首先由地址寄存器AR送出一个存储单元的地址addr,然后使r/w为0,进行读操作read;片选信号sel置1,选中存储器;若信号READY为1,说明存储器是可操作的,在此之后,存储单元就会输出数据data。
向存储器ram_dp写入数据的过程是:首先由地址寄存器AR送出一个存储单元的地址addr;同时将要写入的数据data加到存储器的数据输入端;然后,将r/w置1,进行写操作,write,片选信号sel置1,选中存储器;这时,若信号READY为1,说明存储器是可操作的,数据dada即写入到存储器的指定单元中。其中
TOP.vhd是顶层程序
library IEEE;
use IEEE.std_logic_1164.all;
use work.cpu_lib.all;
entity top is
end top;
architecture behave of top is
component ram_dp
port (addr : in bit16 ; sel,rw : in std_logic; ready : out std_logic;
data : inout bit16);
end component;
component control
port(clock, reset, ready : in std_logic ; addr : out bit16;
rw, vma : out std_logic ; data : inout bit16);
end component;
signal addr, data : bit16; signal vma, rw, ready : std_logic;
signal clock, reset : std_logic := '0';
begin
clock <= not clock after 50 ns ; reset <= '1', '0' after 100 ns;
m1: ram_dp port map (addr, vma, rw, ready, data);
u1: control port map (clock, reset, ready, addr, rw, vma, data);
end behave
其中定义ram_dp元件端口的是
component ram_dp
port (addr : in bit16 ; sel,rw : in std_logic; ready : out std_logic;
data : inout bit16);
end component;


回复

使用道具 举报

千问 | 2011-5-8 22:00:37 | 显示全部楼层
通常将采用英特尔处理器的服务器称为IA(Intel Architec-ture)架构服务器,由于该架构服务器采用了开放式体系,并且实现了工业标准化技术和得到国内外大量软硬件供应商的支持,在大批量生产的基础上,以其极高的性能价格比而在全球范围内,尤其在我国得到广泛的应用。2000年国内IA架构服务器供应商前三位是惠普、IBM、浪潮。 10.指令集 (1)CISC指令集 CISC指令集,也称为复杂指令集,英文名是CISC,(Complex Instruction Set Computer的缩写)。在CISC微处理器中,程序的各条指令是按顺序串行执行的,每条指令中的各个操作也是按顺序串行执行的。顺序执行的优点是控制简单,但计算机各部分
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行