高分!急!求:用VHDL描述一个同步十进制计数器,带清零端。 输入为单脉冲,输出用LED显示

[复制链接]
查看11 | 回复2 | 2008-11-18 15:40:13 | 显示全部楼层 |阅读模式
模多少的???任意???我写了个模70的,如果要其他的自己修改参数就行了library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity count_led is port(
rst,clk:in std_logic;
y:out std_logic_vector(13 downto 0));end count_led;architecture Behavioral of count_led is
...
回复

使用道具 举报

千问 | 2008-11-18 15:40:13 | 显示全部楼层
看不懂!是red吧?...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行