基于FPGA的频率计设计 毕业论文。。请高手帮忙,有重谢。。

[复制链接]
查看11 | 回复5 | 2009-2-21 15:11:39 | 显示全部楼层 |阅读模式
通过FPGA运用VHDL编程设计一个数字式频率计,精度范围在1HZ~10KHz,给出实现代码和仿真波形。(运用的软件QUARTUSII)
采用单片机、FPGA等设计并制作一台数字显示的简易频率计。
1.频率计是干什么的?(这是什么东西 1。干什么的 2。参数指标)
2.用FPGA模块化
3.软件介绍
4.总结+附录
参考资料

回复

使用道具 举报

千问 | 2009-2-21 15:11:39 | 显示全部楼层
这是大规模数字集成电路在系统可编程领域的经典课程设计。数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。一个用VHDL语言实现的实例如下:-- Project Name:
恒精度频率计-- Target Devices:FPGA or CPLD-- Revision 0.01 - File Created-- Comments: clk--系统工作时钟,2MHz...
回复

使用道具 举报

千问 | 2009-2-21 15:11:39 | 显示全部楼层
http://tabobo.cn/soft/search.asp?act=topic&keyword=fpga 1、 用FPGA实现控制基于I2C总线的EEPROM 2、 基于FPGA的简单OEM板GPS接收机设计 3、 基于FPGAD的数字频率计设计 4、 [电气工程]基于FPGA的电网基本电量数字测量系统的设计 5、 ...
回复

使用道具 举报

千问 | 2009-2-21 15:11:39 | 显示全部楼层
1、频率计嘛就是用来测试信号频率的啊!2、用FPGA来做就最简单不过了!3、我做了一个频率范围在0.5Hz__20MHz,一点都不夸张的,而且精度还很高,比你想象的要高的多!4、软件设计就只要QUARTUS II,很好做的也很容易!5、就连报告也都有的!...
回复

使用道具 举报

千问 | 2009-2-21 15:11:39 | 显示全部楼层
你问的也太多了吧。真是舍得用百度啊。1.频率计应该是能对输出的信号的频率进行调整。比如要求输出10kHZ的某种波。指标:频率,波形,占空比,最高电压,最低电压,振幅等。2.可以模块化,你自己参考资料去实现吧。3.依旧百度,百科里有。4.FPGA是基于硬件的编程,相对ASIC很灵活,能调整来实现你的功能。在视频处理,工业控制,D...
回复

使用道具 举报

千问 | 2009-2-21 15:11:39 | 显示全部楼层
1.频率计是干什么的?问这个问题的应该不是工科生吧!不是工科生做什么频率计啊~~哪凉快待哪去...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行