在VHDL中port map怎么使用,格式是什么能举个例子吗???

[复制链接]
查看11 | 回复1 | 2013-9-27 13:56:30 | 显示全部楼层 |阅读模式
在process开始之前申明你想调用的模块,然后用的时候使用port map 就可以了。entity D isport(P1:in bit;P2:out bit);end entity D;architecture structural of D iscomponent A isport(a1,a2,a3:in bit;a4:out bit);end component A;beginInst1: A port map (a1=>P1,a4=>P2);...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行