能帮我写一个VHDL语言么?功能是:四位二进制同步加减可逆计数器。今晚之前能写好么?谢谢!!

[复制链接]
查看11 | 回复1 | 2011-10-16 19:52:06 | 显示全部楼层 |阅读模式
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY count ISPORT(clk
: in STD_LOGIC;
rst
: in std_logic;
change : in STD_LOGIC;
cnt
: out STD_LOGIC_VECTOR(3 DOWNTO 0));END;ARCHITECTURE behav OF count ISsignal cnt_1:STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行