modelsim进行功能仿真的时候需要输入哪几样文件?

[复制链接]
查看11 | 回复1 | 2009-7-18 10:08:18 | 显示全部楼层 |阅读模式
TestBench是测试波形,没有测试波形怎么仿真?可以用Verilog写测试波形,也可以在ISE里面直接生成TestBench文件,图形化界面,便于操作...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行