请教一下vhdl

[复制链接]
查看11 | 回复2 | 2011-3-18 23:33:38 | 显示全部楼层 |阅读模式
我知道你想要的意思:检测q端口输入值并取反产生时钟,若是1,则产生的时钟从0开始,若是0,则产生的时钟从1开始,也就是起始相位不同。我也没弄出你要的效果来~对VHDL下的双向口操作这方面知识还是很欠缺,有空我会继续想想~想不出来又无大侠出现的话,建议你去这个坛子里发个帖子问问,里面有不少高手:http://www.ourdev.cn/bbs/bbs_list.jsp?bbs_id=1029...
回复

使用道具 举报

千问 | 2011-3-18 23:33:38 | 显示全部楼层
nbsp;ieee;-库引用useieee.std_logic_1164.ALL;&nbsp...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行