计数器的波形仿真结果全为0 是怎么回事

[复制链接]
查看11 | 回复1 | 2012-11-30 23:46:48 | 显示全部楼层 |阅读模式
如果您用的modelsim的话,需要将计数器初始化,也就是counter<=counter+1;这句话中的counter,在定义的时候要给他赋值为0,如 reg [7:0] counter =0;这样在跑起来的话就可以正常计数了。前提是时钟信号确保正确无误呀。如果解决了问题,记得采纳。...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行