我用C生成的MIF文件用QUARTUS2打不开,为什么?

[复制链接]
查看11 | 回复1 | 2011-11-26 20:58:35 | 显示全部楼层 |阅读模式
你只输出数,鬼都不认识啊看我的:DEPTH = 512;WIDTH = 8;ADDRESS_RADIX = HEX;DATA_RADIX = HEX;CONTENT BEGIN0000 : 0080;0001 : 0081;0002 : 0083;0003 : 0084;0004 : 0086;0005 : 0087;0006 : 0089;0007 : 008A;0008 : 008C;0009 : 008E;000A : 008F;000B : 0091;000C : 0092;000D : 0094;…………END ;...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行