一段程序中用到了如下的 求VHDL大虾们指明其具体意思 是分频吗 怎么解读?

[复制链接]
查看11 | 回复2 | 2012-4-10 16:59:59 | 显示全部楼层 |阅读模式
是分频,在1111010000100011111个时钟周期后信号反转...
回复

使用道具 举报

千问 | 2012-4-10 16:59:59 | 显示全部楼层
是的,每次上升沿count递增一个数直到1111010000100011111(499999)时c1翻转一次,因此c1是clk的499999*2分频。c2是count的第11位,应该有什么特殊用途了。...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行