VHDL程序问题

[复制链接]
查看11 | 回复2 | 2012-4-21 11:34:27 | 显示全部楼层 |阅读模式
bit_vector不能进行+ 运算,因为程序包里没有定义bit_vector的算术运算,但是定义了std_logic_vector的算术运算,就如楼上所说,改用std_logic_vector吧...
回复

使用道具 举报

千问 | 2012-4-21 11:34:27 | 显示全部楼层
那你就用std_logic_vector吧,...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行