verilog 模块端口为二维数组 如何调用

[复制链接]
查看11 | 回复2 | 2012-4-21 18:17:47 | 显示全部楼层 |阅读模式
必须分解为一维数组,然后再端口上一一列出。所以这点不如VHDL。 其实你如果嫌麻烦,可以变通一下的,比如做个接口,将两者对接。举例如下:你需要调用一个128*64存储器,但是设计128个64位宽的线组/寄存器组,管理起来很不方便,比如a0[63:0],a1[63:0],a2[63:0]..............a127[63:0],在外界调用时还得设计一个查找表。因此,你可以设计一个如下的寄存器组aa[128*64-1:0],在外界调用时,将二维数组的两个地址相乘就行了。moudlejiekou(aa,a0,a1.......a127);input[8191:0]aa;output [63:0]a0,a1....
回复

使用道具 举报

千问 | 2012-4-21 18:17:47 | 显示全部楼层
二位数组不能做port。标准上有。...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行