quartus9.0 modelsim se6.5仿真问题

[复制链接]
查看11 | 回复2 | 2012-5-12 00:00:37 | 显示全部楼层 |阅读模式
估计是你程序的问题设计文件和testbench都要编译好...
回复

使用道具 举报

千问 | 2012-5-12 00:00:37 | 显示全部楼层
你这不对吧,modelsim6.5对应quartus 10.0吧,我用的就是这个。你换一下版本看看吧,另外,modelsim破解的时候要添加环境变量的,不知道你添加了没?...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行