error:output port z must connected to a structural net expression如何解决?

[复制链接]
查看11 | 回复1 | 2011-3-5 06:33:34 | 显示全部楼层 |阅读模式
回复

使用道具 举报

千问 | 2011-3-5 06:33:34 | 显示全部楼层
<pre id=\"best-answer-content\" class=\"reply-text mb10\">网上有个类似的问题,请参考!

用CPLD对信号做2次取反,却编译不过。如下:
module demo2(k,exain);
input exain;
reg exa;
output k;
not n1(exa,exain);
not m1(k,exa);
endmodule

为什么编译不过啊?
output or inout port \"gate output\" must be connected to a structural net expression

答:
只要把“reg exa;”去掉或改成“wire exa;”,就可以了。
因为你使用的是门级描述,门与门之间的只能是wire,而不能是reg,这与RTL级描述是不同的。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行