用Verilog HDL语言Quartus II设计一个简单计算器 只需把每个步骤汇编语言写出即可..

[复制链接]
查看11 | 回复2 | 2011-12-31 00:07:33 | 显示全部楼层 |阅读模式
module(clk,rst,a,b,out,z);input clk,rst;input [7:0]a,b;output [15:0]out;output [7:0]z;reg [15:0]out;reg [15:0]shifta;reg [7:0]z;//商reg [1:0]k;//根据取值不同进行不同的运算reg [7:0]n;//存放除法中间变量always@(posedge clk)if(!rst)beginout<=16'h0000;z<=8'b00000000;endelsecase(k)2'b00:out<=a+b;2'b01:out<=a-b;2'b10:...
回复

使用道具 举报

千问 | 2011-12-31 00:07:33 | 显示全部楼层
可以使用函数的调用的方法实现简单计算器的编程,这是编程的思路,具体的编程自己再去琢磨一下啊。。。...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行