用vhdl语言设计电路,完成一个序列信号发生器,用以产生序列信号101110110001。 求助啊

[复制链接]
查看11 | 回复1 | 2012-6-1 13:47:38 | 显示全部楼层 |阅读模式
library IEEE;use IEEE.STD_LOGIC_1164.all;entity xlgen isport( clk : in STD_LOGIC; res : in STD_LOGIC; Q : out STD_LOGIC
);end xlgen;architecture rtl of xlgen issignal temp : std_logic_vector (11 downto 0);beginprocess ( res, clk)begin if ( res= '1') then
temp <= "101110110001";...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行