为什么我用quartusII 进行时序仿真(timing)时的输出波形是有延时的?看图

[复制链接]
查看11 | 回复3 | 2018-3-20 18:01:23 | 显示全部楼层 |阅读模式
这是因为你使用的是时序仿真,只需要在assignments---settings下面修改为功能仿真functional就好啦...
回复

使用道具 举报

千问 | 2018-3-20 18:01:23 | 显示全部楼层
你可以把时间间隔放的长一些,end time 设成1ms,然后把波形视图缩小,时序仿真,就看不到延迟了...
回复

使用道具 举报

千问 | 2018-3-20 18:01:23 | 显示全部楼层
你看你在仿真设置(time analysis settings)里面是不是加了时序设置...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行