在ModelSim仿真时提示如下错误:

[复制链接]
查看11 | 回复1 | 2011-4-4 09:03:27 | 显示全部楼层 |阅读模式
# ** Error: sine_dds.vhd(49): VHDL Compiler exiting
# ** Error: C:/Modeltech_xe/win32xoem/vcom faile
请问是什么原因?
回复

使用道具 举报

千问 | 2011-4-4 09:03:27 | 显示全部楼层
<pre id=\"best-answer-content\" class=\"reply-text mb10\">仔细检查程序 包括标点 很有可能是程序本身 的问题
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行