verilog hdl 语言的for循环会综合成什么

[复制链接]
查看11 | 回复5 | 2012-3-1 23:59:17 | 显示全部楼层 |阅读模式
一般来说,for,都是遍历你for的次数,然后逻辑复制你for下面的内容。当然,也不一定,比如你说for复位一个块存储器(矩阵),那自然就是一个复位线而已。...
回复

使用道具 举报

千问 | 2012-3-1 23:59:17 | 显示全部楼层
首先是for可以综合的,for几次就会把你的电路复制几次,所以在非特殊情况下就最好不要用,当然在testbench就随便用了,当然建议你自己可以写写代码,然后看综合结果,看看for次数不同综合出的有什么不同...
回复

使用道具 举报

千问 | 2012-3-1 23:59:17 | 显示全部楼层
Tools->Netlist Viewers -> RTL Viewers 自己看...
回复

使用道具 举报

千问 | 2012-3-1 23:59:17 | 显示全部楼层
...


回复

使用道具 举报

千问 | 2012-3-1 23:59:17 | 显示全部楼层
for一般只用在testbench中...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行