小弟初学FPGA 很简单的程序 编译通过 但仿真时出现问题 如图 求解!!!quarter 11.0 modelsim 6.6d

[复制链接]
查看11 | 回复2 | 2013-5-7 19:23:36 | 显示全部楼层 |阅读模式
路径没有指定正确...
回复

使用道具 举报

千问 | 2013-5-7 19:23:36 | 显示全部楼层
你试试手动启动仿真器...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行