帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的

[复制链接]
查看11 | 回复2 | 2017-11-25 20:52:48 | 显示全部楼层 |阅读模式
SIGNAL write_addr :STD_LOGIC_VECTOR(5 DOWNTO 0);声明了一组信号,信号名称write_addr,信号类型STD_LOGIC_VECTOR,这个信号的类型是个std_logic数组,它的下标变化范围是(5 DOWNTO 0),也就是说,有6位std_logic类型构成的数组。说的白一些,就是信号(写地址)write_addr有6根地址线,名称是write_addr(5)~write_addr(0)。信号read_addr也类似。...
回复

使用道具 举报

千问 | 2017-11-25 20:52:48 | 显示全部楼层
本例中表示read_addr,即读地址,地址长度6bit(5,4,3,2,1,0)...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行