四位移位寄存器用vhdl语言设计?

[复制链接]
查看11 | 回复1 | 2013-5-27 12:53:36 | 显示全部楼层 |阅读模式
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ls194 ISPORT(d
:IN STD_LOGIC_VECTOR(0 TO 3);cr,sr,sl,s1,s0:IN STD_LOGIC;cp
:IN STD_LOGIC;q
:OUT STD_LOGIC_VECTOR(0 TO 3));END ls194;ARCHITECTURE rtl OF ls194 ISSIGNAL pcx:STD_LOGIC_VECTOR(0 TO 3);BEGINPROCESS(cr,cp)BEGINIF(cr=...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行