使用vhdl语言设计D触发器

[复制链接]
查看11 | 回复1 | 2016-6-4 02:10:39 | 显示全部楼层 |阅读模式
根据真值表的描述结合VHDL编程思想很好实现你想要的程序;程序并不难,关键是你用心的程度;我猜你也许也是一名我的同行……自己的努力才是过硬的本领!!!!LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY RS_clk ISPORT( S,R,res :IN std_logic;Q,NOT_Q:out std_logic);END RS_clk;ARCHITECTURE behav OF RS_clk ISsignal sel1,sel2: std_logic;BEGINprocess(res...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行