急!基于Quartus ii的FPGA流水灯实现的正确程序,一定要全正确,稍加讲解。

[复制链接]
查看11 | 回复3 | 2012-9-15 08:02:06 | 显示全部楼层 |阅读模式
module liushuideng(iclk,irst,odeng1,odeng2,odeng3,odeng4);//以控制四个流水灯为例子input iclk,irst;output odeng1,odeng2,odeng3,odeng4;//高电平表明点亮该灯,低电平表示不亮reg odeng1,odeng2,odeng3,odeng4;reg [9:0]Cnt;//计数器always@(posedge iclk)beginif(~irst)
Cnt<=10'd0;else
Cnt<=Cnt+10'd1;endalways@(posedge iclk)begin//这些灯一个接着...
回复

使用道具 举报

千问 | 2012-9-15 08:02:06 | 显示全部楼层
在正确的时刻点亮正确的灯,这就是流水灯...
回复

使用道具 举报

千问 | 2012-9-15 08:02:06 | 显示全部楼层
流水就是一个接一个不断循环...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行