这个verilog程序错哪里?第一次弄,各种不懂

[复制链接]
查看11 | 回复3 | 2012-10-21 16:12:29 | 显示全部楼层 |阅读模式
if( A[3:0]>4b'0101 )这句错了4b'0101应为4'b0101‘写在4后面,b前面...
回复

使用道具 举报

千问 | 2012-10-21 16:12:29 | 显示全部楼层
错在这一句:if( A[3:0]>4b'0101 )改为:if( A[3:0]>4'b0101 )
就可以了。...
回复

使用道具 举报

千问 | 2012-10-21 16:12:29 | 显示全部楼层
module yangyang (A,D);input [3:0]A;output D;reg D;always@(A)
begin
if( A[3:0]>4'b0101 )
beginD=1'b1;end
else
beginD=1'b0;end
...
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

主题

0

回帖

4882万

积分

论坛元老

Rank: 8Rank: 8

积分
48824836
热门排行